Advanced Energy to Introduce Three New Process Power Products During SEMICON West 2020

August 03, 2020 - 08:25
Advanced Energy to Introduce Three New Process Power Products During SEMICON West 2020

AE announces a revolutionary plasma process power system and expands the capabilities of its leading solid-state match and integrated RF generator and match solution

 

DENVER, COLORADO - Media OutReach - 3 August2020 - Advanced Energy (Nasdaq: AEIS) -- aglobal leader in highly engineered, precision power conversion, measurement andcontrol solutions -- today announced it unveiled three new solutions foradvanced technology node semiconductor wafer processing.


"Semiconductormanufacturing is growing and rapidly transforming with the rise of the 4thIndustrial Revolution. AE is at the forefront with power solutions that enablesmaller features, faster processes, tighter control and lower cost of ownership,which our customers rely on to make the chips that fuel the data economy," saidPeter Gillespie, vice president and general manager, semiconductor, AdvancedEnergy. "Formerly almost hidden from view, process power is now increasinglyrecognized as a critical enabler in wafer processing. We look forward tosharing our products that 'power the process' as well as exhibiting, for the firsttime, products that 'power the platform' with AE's Artesyn Embedded Power portfolio of offerings."


AE introduces valuable newsystem solutions that change how plasma processes are powered:


eVoS™ LE: AE introduces its eVoS platform,a wholly new non-sinusoidalplasma power technology that enables tightly targeted ion energy control,which is increasingly important for advanced etch and deposition processes usedto create leading-edge device features at 5nm and below. Providing analternative to conventional sinusoidal RF bias plasma power that uses complexmulti-frequency systems, eVoS is designed to produce customized narrow ionenergy distributions in a singular solution. AE's solution enables more directcontrol of ion energy distributions, better bias power efficiency and lesspower loss than conventional solutions, all benefits necessary to create increasinglychallenging 3D IC features. eVoS LE (Low Energy) offers precise power controlfor critical plasma-based applications, such as atomic layer etch (ALE), etch,clean, deposition and atomic layer deposition (ALD).


Navigator® II FCi: Building on the success of its industry-leadingNavigator II impedance matching network platform, AE introduces Navigator IIFCi, a new, faster solid-state matching network that improves value, speed andtune range compared to standard matching network products. Based on highspeed PIN-diode technology, Navigator II FCi complements AE's first-to-marketNavigator II FastCap™ solid-state match, broadening the power and impedancerange and improving response times to sub-milliseconds. Withno moving parts, the Navigator II FCi's reliability and reproducibility exceedthat of traditional vacuum capacitor matches. The Navigator II FCi is available to enable advanced ALEapplications with capabilities extended to support an ever-broadening range ofapplications to meet new market requirements. The Navigator II FCi high-speedPIN-diode technology is also now available in the novel integrated match andgenerator RF power delivery system, Paramount HFi.


Paramount® HFi:The benefits of a high performance, low cost integrated RFpower system come in Paramount HFi's small footprint, meeting the stringentrequirements of today's most advanced deposition tools. AE developed the industry'sfirst commercially viable, fully integrated generator and match delivery systemin 2000 with its Apex product. Apex remains a deposition RF power workhorse, capableof switching between four discrete tune range positions. The new Paramount HFisubstantially extends this concept with 32 tune range positions, an upgradedfully digital control system and a new VI (RF metrology) sensor. With these newcapabilities and its widened operating window, the Paramount HFi provides advancedprocess control, high repeatability, a common exciter mode to synchronizemultiple systems in cluster configurations and advanced communication protocol(EtherCAT). The Paramount HFi's compact size, millisecond response times andhigh reliability make it ideal for today's short duration deposition processsteps, and where speed and reliability are increasingly viewed as critical enablersin processing advanced NAND and other high repetition stack devices.


For detailed technical specifications,visit www.advancedenergy.com.


About Advanced Energy

AdvancedEnergy (Nasdaq: AEIS) is a global leader in the design and manufacturing ofhighly engineered, precision power conversion, measurement and controlsolutions for mission-critical applications and processes. AE's power solutionsenable customer innovation in complex applications for a wide range ofindustries including semiconductor equipment, industrial, manufacturing,telecommunications, data center computing and healthcare. With engineeringknow-how and responsive service and support around the globe, the companybuilds collaborative partnerships to meet technology advances, propel growthfor its customers and innovate the future of power. Advanced Energy has devotedmore than three decades to perfecting power for its global customers and isheadquartered in Denver, Colorado, USA. For more information, visit www.advancedenergy.com.  


AdvancedEnergy | Precision. Power. Performance.


E-paper